Jump to content
Forumu Destekleyenlere Katılın ×
Paticik Forumları
2000 lerden beri faal olan, çok şukela bir paylaşım platformuyuz. Hoşgeldiniz.

4 bitlik vhdl kodu ariyorum


Öne çıkan mesajlar

Mesaj tarihi:
Evet arkadaşlar, bu nedir zerre fikrim yok zira bir arkadaşım benden bunu istedi :-) Olay hakkında zerre fikrim yok ama bilen varsa ve yazarsa sevinirim.[signature][hline]Özgürlüğün değerini ancak onu kaybedince anlarsınız. En zavallı insan ise esaret altındayken kendisini özgür zannedendir.

bi fransız gastesi de şey demiş:
"ırak üç parçaya ayrılıcak.
1-normal
2-süper
3-kurşunsuz"
Mesaj tarihi:
ekşi sözlükte vhdl için "vhsic hardware description language" demişler.

vhsic ise "very high speed integrated circuit"
demekmiş.

bilmem işine yarar mı.[signature][hline]"computer games don't affect kids; i mean if pac-man affected us as kids, we'd all be running around in darkened rooms munching magic pills and listening to repetitive electronic music..."-kristian wilson, nintendo inc, 1989-
Mesaj tarihi:
bu şey video ile mi ilgili? yani avi dosyalarıyla, programcılıkla mı?

[signature][hline]Everybody knows.. That's how it goes..

[Bu mesaj Rahan tarafından 11 Mayıs 2004 21:36 tarihinde değiştirilmiştir]
Mesaj tarihi:
Çok sağol Zed, yazdıklarını aynen yolladım bana gelen cevap şu şekilde

bunun bir yazilimi varmis proje icin gerekli[signature][hline]Özgürlüğün değerini ancak onu kaybedince anlarsınız. En zavallı insan ise esaret altındayken kendisini özgür zannedendir.

bi fransız gastesi de şey demiş:
"ırak üç parçaya ayrılıcak.
1-normal
2-süper
3-kurşunsuz"
Mesaj tarihi:

bir entegre dusunun. cesitli bacaklari var, ama bunlar arasindanasil bir
iliski olduguna siz karar veriyorsunuz. yani amaciniza uygun entegreyi
dizayn ediyorsunuz yazdiginiz program ile. mesela isin ileri boyuttaki bir
ornegini soyleyeyim, bir islemci koydunuz entegre icine, yanina 1 klik eprom
koydunuz, bir de adc koydunuz. ben de ayni entegre icine baska bir islemci
koydum, adc koymadim ve epromumu 4k yaptim. bir baska arkadas ayni entegreyi
sadece bir lojik tasarimini gerceklemk uzere kullandi, vesaire gibi...

kabaca bu sekilde anlatilabilir belki...



4-bit Unsigned Up Counter with Asynchronous Clear
The following table shows pin definitions for a 4-bit unsigned up counter with asynchronous clear.

IO Pins Description
C Positive-Edge Clock
CLR Asynchronous Clear (active High)
Q[3:0] Data Output


VHDL Code
Following is VHDL code for a 4-bit unsigned up counter with asynchronous clear.

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity counter is
port(C, CLR : in std_logic;
Q : out std_logic_vector(3 downto 0));
end counter;
architecture archi of counter is
signal tmp: std_logic_vector(3 downto 0);
begin
process (C, CLR)
begin
if (CLR='1') then
tmp elsif (C'event and C='1') then
tmp end if;
end process;
Q end archi;
Verilog Code
Following is the Verilog code for a 4-bit unsigned up counter with asynchronous clear.

module counter (C, CLR, Q);
input C, CLR;
output [3:0] Q;
reg [3:0] tmp;
always @(posedge C or posedge CLR)
begin
if (CLR)
tmp = 4'b0000;
else
tmp = tmp + 1'b1;
end
assign Q = tmp;
endmodule
4-bit Unsigned Down Counter with Synchronous Set
The following table shows pin definitions for a 4-bit unsigned down counter with synchronous set.

IO Pins Description
C Positive-Edge Clock
S Synchronous Set (active High)
Q[3:0] Data Output


VHDL Code
Following is the VHDL code for a 4-bit unsigned down counter with synchronous set.

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity counter is
port(C, S : in std_logic;
Q : out std_logic_vector(3 downto 0));
end counter;
architecture archi of counter is
signal tmp: std_logic_vector(3 downto 0);
begin
process (C)
begin
if (C'event and C='1') then
if (S='1') then
tmp else
tmp end if;
end if;
end process;
Q end archi;
Verilog Code
Following is the Verilog code for a 4-bit unsigned down counter with synchronous set.

module counter (C, S, Q);
input C, S;
output [3:0] Q;
reg [3:0] tmp;
always @(posedge C)
begin
if (S)
tmp = 4'b1111;
else
tmp = tmp - 1'b1;
end
assign Q = tmp;
endmodule
4-bit Unsigned Up Counter with Asynchronous Load from Primary Input
The following table shows pin definitions for a 4-bit unsigned up counter with asynchronous load from primary input.

IO Pins Description
C Positive-Edge Clock
ALOAD Asynchronous Load (active High)
D[3:0] Data Input
Q[3:0] Data Output


VHDL Code
Following is the VHDL code for a 4-bit unsigned up counter with asynchronous load from primary input.

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity counter is
port(C, ALOAD : in std_logic;
D : in std_logic_vector(3 downto 0);
Q : out std_logic_vector(3 downto 0));
end counter;
architecture archi of counter is
signal tmp: std_logic_vector(3 downto 0);
begin
process (C, ALOAD, D)
begin
if (ALOAD='1') then
tmp elsif (C'event and C='1') then
tmp end if;
end process;
Q end archi;
Verilog Code
Following is the Verilog code for a 4-bit unsigned up counter with asynchronous load from primary input.

module counter (C, ALOAD, D, Q);
input C, ALOAD;
input [3:0] D;
output [3:0] Q;
reg [3:0] tmp;
always @(posedge C or posedge ALOAD)
begin
if (ALOAD)
tmp = D;
else
tmp = tmp + 1'b1;
end
assign Q = tmp;
endmodule
4-bit Unsigned Up Counter with Synchronous Load with a Constant
The following table shows pin definitions for a 4-bit unsigned up counter with synchronous load with a constant.

IO Pins Description
C Positive-Edge Clock
SLOAD Synchronous Load (active High)
Q[3:0] Data Output


VHDL Code
Following is the VHDL code for a 4-bit unsigned up counter with synchronous load with a c

Mesaj tarihi:
unutmadan yukardaki örnek bir saat :)
açılımda Very High Speed Integrated Circuit Hardware Description Language

[Bu mesaj Ragnar tarafından 11 Mayıs 2004 21:42 tarihinde değiştirilmiştir]
Mesaj tarihi:
Ragnar çok sağol cidden işe yaradı. Aslında ben cevabını da anlamadım ya neyse mühim değil :-) Arkadaşımda sana çok teşekkür ediyor bu arada. Birde bununla ilgili her hangi bir kaynak bulma sansi olup olmadığını sorar kendileri.[signature][hline]Özgürlüğün değerini ancak onu kaybedince anlarsınız. En zavallı insan ise esaret altındayken kendisini özgür zannedendir.

bi fransız gastesi de şey demiş:
"ırak üç parçaya ayrılıcak.
1-normal
2-süper
3-kurşunsuz"
Mesaj tarihi:
Bir kez daha çok sağolunuz efendim :) Yani aslansınız, birde kaplan :)[signature][hline]Özgürlüğün değerini ancak onu kaybedince anlarsınız. En zavallı insan ise esaret altındayken kendisini özgür zannedendir.

bi fransız gastesi de şey demiş:
"ırak üç parçaya ayrılıcak.
1-normal
2-süper
3-kurşunsuz"
Mesaj tarihi:
Yani cidden çok ümitsiz bir şekilde açmıştım bu konuyu, bu kadar kısa sürede bu derece kapsamlı bir cevap hakkaten beklemiyordum. Yani paticik sen nelere kadirsin ağzım açık kaldı. Yani diyecek laf bulamıyorum.

Unutmadan bir kez daha çok teşekkürler ragnar :)[signature][hline]Özgürlüğün değerini ancak onu kaybedince anlarsınız. En zavallı insan ise esaret altındayken kendisini özgür zannedendir.

bi fransız gastesi de şey demiş:
"ırak üç parçaya ayrılıcak.
1-normal
2-süper
3-kurşunsuz"
Mesaj tarihi:
vay be patide herşeyi bilen varmış demekki[signature][hline]And there's a million of us just like me who react like me, burn, smell, explode like me glow like me, periodical like me and just might be a piece uranium, but they are just isotopes of me!
I'm Chemical. Yes, I'm the pure Chemical
All other isotope Chemicals can't react forever. So won't the pure Chemical please meltdown please meltdown please meltdown...
Mesaj tarihi:
şu an o arkadaşım online değil ama yarın bana tam ne gerektiğpini e-posta ile bildirecek. O zaman tekrara buraya yazarım vede yardımlarınızı seve seve kabul ederim :)[signature][hline]Özgürlüğün değerini ancak onu kaybedince anlarsınız. En zavallı insan ise esaret altındayken kendisini özgür zannedendir.

bi fransız gastesi de şey demiş:
"ırak üç parçaya ayrılıcak.
1-normal
2-süper
3-kurşunsuz"
Mesaj tarihi:
isterseniz Ragnar bunu çince de yazabilir :)[signature][hline]Evil friend with every1,till blade thrust into body...Ariakan...
..........RIM RIM RIM RIM RIM RIM ..........
Mesaj tarihi:
Şimdi olay biraz parça parça gelişiyor ama ne yaparsınız :-) Şimdi istenen şey tam olarak şuymuş "4 bitlik analog digital converterlerin vhdl yazilim kodlari"

Bununla ilgili bilgi verebilecek var mı acaba?[signature][hline]Özgürlüğün değerini ancak onu kaybedince anlarsınız. En zavallı insan ise esaret altındayken kendisini özgür zannedendir.

bi fransız gastesi de şey demiş:
"ırak üç parçaya ayrılıcak.
1-normal
2-süper
3-kurşunsuz"
Mesaj tarihi:
durun biraz zaman verin netten bulup cinceden turkeceye cevirmesi lazim eheuha[signature][hline]Evil friend with every1,till blade thrust into body...Ariakan...
..........RIM RIM RIM RIM RIM RIM ..........
×
  • Yeni Oluştur...